其他毕业设计资料

计算机毕业设计自动售货机

时间:2020/10/27 11:42:06  作者:  来源:  查看:25  评论:0
内容摘要:8.21 计算机毕业设计自动售货机VHDL程序与仿真(1)自动售货机VHDL程序如下:--文件名:pl_auto1.vhd。--功能:货物信息存储,进程控制,硬币处理,余额计算,显示等功能。--说明:显示的钱数coin的 以5角为单位。--最后修改日期:2004.3.23。lib...
8.21 计算机毕业设计自动售货机VHDL程序与仿真
(1)自动售货机VHDL程序如下:
--文件名:pl_auto1.vhd。
--功能:货物信息存储,进程控制,硬币处理,余额计算,显示等功能。
--说明:显示的钱数coin的 以5角为单位。
--最后修改日期:2004.3.23。
library ieee;
use ieee.std_logic_arith.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity PL_auto1 is
port ( clk:in std_logic;                              --系统时钟
set,get,sel,finish: in std_logic;                   --设定、买、选择、完成信号
coin0,coin1: in std_logic;                      --5角硬币、1元硬币
price,quantity  :in std_logic_vector(3 downto 0);   --价格、数量数据
item0 , act:out std_logic_vector(3 downto 0);       --显示、开关信号
y0,y1 :out std_logic_vector(6 downto 0);          --钱数、商品数量显示数据
act10,act5   :out std_logic);                   --1元硬币、5角硬币
end PL_auto1;
architecture behav of PL_auto1 is
type  ram_type is array(3 downto 0)of std_logic_vector(7 downto 0);
signal ram :ram_type;                                      --定义RAM
signal item: std_logic_vector(1 downto 0);                      --商品种类
signal coin: std_logic_vector(3 downto 0);                      --币数计数器
signal pri,qua:std_logic_vector(3 downto 0);                    --商品单价、数量
signal clk1: std_logic;                                      --控制系统的时钟信号
begin
com:process(set,clk1)
variable quan:std_logic_vector(3 downto 0);
begin
  if set='1' then ram(conv_integer(item))<=price & quantity;act<="0000";
--把商品的单价、数量置入到RAM
  elsif clk1'event and clk1='1' then  act5<='0'; act10<='0';
      if coin0='1' then    
      if coin<"1001"then coin<=coin+1;            --投入5角硬币,coin自加1
   else coin<="0000";
   end if;
      elsif coin1='1' then
   if coin<"1001"then coin<=coin+2;            --投入1元硬币,coin自加2
   else coin<="0000";
   end if;
      elsif sel='1' then item<=item+1;                  --对商品进行循环选择
elsif get='1' then                              --对商品进行购买
if qua>"0000" and coin>=pri then coin<=coin-pri;quan:=quan-1;
ram(conv_integer(item))<=pri & quan;
            if   item="00" then act<="1000";  --购买时,自动售货机对4种商品的操作
   elsif item="01" then act<="0100";
      elsif item="10" then act<="0010";
      elsif item="11" then act<="0001";
   end if;计算机毕业设计
  
相关评论
评论者:      验证码:  点击获取验证码
本类推荐
咨询QQ/微信:45157718 点击这里给我发消息 | 电话:13516821613 | 浙江杭州余杭区东港路118号雷恩科技创新园 | 网站支持:杭州摇亿网络科技 | 浙ICP备06056032号-6 |